はじめに - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: Tcl スクリプト機能の使用 (UG894)

Document ID
UG894
Release Date
2023-11-17
Version
2023.2 日本語

Tcl (ツール コマンド言語) は、さまざまなデザイン ツールおよびデザイン データにアクセスするための、変数、プロシージャ (proc)、制御構造を含むインタープリター型プログラミング言語です。

ヒント: 詳細は、 『Vivado Design Suite Tcl コマンド リファレンス ガイド』 (UG835) を参照するか、<command> -help と入力してください。AMD Vivado™ Design Suite の起動方法および使用方法の詳細は、 『Vivado Design Suite ユーザー ガイド: 入門』 (UG910) を参照してください。

この言語は新しい関数呼び出しで簡単に拡張できるので、1990 年代初期に開発されて以来、新しいツールやテクノロジをサポートするため拡張されてきています。多くの EDA ベンダーが標準の API (アプリケーション プログラミング インターフェイス) としてアプリケーションを制御および拡張するために導入しています。

AMD では、AMD Vivado™ Design Suite のネイティブ プログラミング言語として Tcl を導入しているので、この業界標準言語に精通している設計者であれば簡単に取り入れ、理解できます。Vivado Design Suite の Tcl インタープリターは、アプリケーションの制御、デザイン オブジェクトおよびプロパティへのアクセス、カスタム レポートの作成を実行するための、Tcl の機能と柔軟性を提供しています。Tcl を使用すると、デザインの特定の要件に合わせてデザイン フローを変更できます。

Tcl には、ローカル ファイル システムのファイルに対して読み出しおよび書き込みを実行するビルトイン コマンドが含まれます。これにより、動的にディレクトリを作成し、FPGA デザイン プロジェクトを開始して、プロジェクトにファイルを追加したり、合成およびインプリメンテーションを実行できます。デザイン プロジェクトからデバイス リソースの使用率や QoR (結果の質) に関するカスタマイズ レポートを生成し、企業内で共有できます。

また、Tcl を使用して、新しい設計手法を試したり、既存の問題を回避したり、必要に応じてデザイン オブジェクトの挿入および削除、プロパティの変更を実行でき。デザイン フローの確立された部分を再実行するためのスクリプトを記述し、プロセスを標準化できます。

このガイドで説明する Tcl コマンドおよびスクリプト例のほとんどは、Vivado Design Suite 特定のものです。Vivado Design Suite 特定の Tcl コマンドの詳細は、 『Vivado Design Suite Tcl コマンド リファレンス ガイド』 (UG835) を参照するか、Vivado ツールのヘルプ機能を使用してください。

AMD Vivado™ IDE では、ザイリンクス デザイン制約 (XDC) を使用してデザイン制約を指定します。XDC は Vivado で使用可能な Tcl コマンドのサブセットに基づいており、Tcl と同様に解釈されます。XDC コマンドには、主にタイミング制約、物理制約、オブジェクト クエリ、およびいくつかの Tcl ビルトイン コマンド (setlist、および expr) があります。XDC コマンドの詳細は、 『Vivado Design Suite ユーザー ガイド: 制約の使用』 (UG903) の付録 B を参照してください。Tcl スクリプトとは異なり、XDC ファイルは Vivado IDE で管理されるので、グラフィカル インターフェイスでの制約の変更は元の XDC ファイルに保存されます。そのため、XDC ファイルでは XDC コマンドのみを使用できます。制約の記述にほかの Tcl コマンドを使用する必要がある場合は、Tcl スクリプトを使用する必要があります。

Vivado ツールでは、vivado.jou というジャーナル ファイルが Vivado を起動したディレクトリに作成されます。ジャーナル ファイルには、セッション中に実行された Tcl コマンドが記録されるので、このファイルを基に新しい Tcl スクリプトを作成できます。

ログ ファイル (vivado.log) も作成され、実行されたコマンドの出力が含まれます。ジャーナル ファイルとログ ファイルは、実行されたコマンドおよびその結果を確認するのに有益です。

Vivado Design Suite にビルトインされている Tcl インタープリターにより、追加の Tcl コマンドが提供されています。Tcl ビルトイン コマンドについては、Tcl のオープンソース ベースおよび資料を管理している Tcl Developer Xchange サイトを参照してください。

Tcl プログラミング言語の入門チュートリアルは、このチュートリアルを参照してください。また、Tclers Wiki (http://wiki.tcl.tk) にいくつかのサンプル スクリプトがあります。

このガイドでは、Tcl コマンドおよび Tcl スクリプトの例、および Vivado Design Suite での戻り値を示します。これらのコマンド例とその戻り値は、次の形式で記述されています。

  • Tcl コマンドおよびスクリプト例
    puts $outputDir
  • Tcl コンソールへの出力または Tcl コマンドの結果
    ./Tutorial_Created_Data/cpu_output