出力先の指定 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: Tcl スクリプト機能の使用 (UG894)

Document ID
UG894
Release Date
2023-11-17
Version
2023.2 日本語

Vivado Design Suite Tcl コマンドの多くでは、コマンドによって返された情報を -file オプションで指定したファイルに含めて、ツール外で表示または処理できるようになっています。または、-return_string オプションを使用して変数に保存可能な文字列として表示し、Vivado ツール内でさらに処理できるようになっています。

すべてのレポート コマンドで、-file オプションがサポートされています。大量の情報を出力するレポート コマンドでは、その後の解析、デザイン プロジェクトの文書サポート、またはほかの部署にダウンストリーム処理用に渡す場合などに、ファイルに出力すると有益です。次に、ファイル出力をサポートするコマンドの一部を示します。

report_datasheet
report_drc
report_power
report_timing
report_timing_summary
report_utilization

たとえば、report_timing コマンドの結果をファイルに記述するには、次のコマンドを使用します。

report_timing -delay_type max -file setup_violations.rpt
report_timing -delay_type min -file hold_violations.rpt

ファイル名の一部として、相対パスまたは絶対パスを指定できます。相対パスは、Vivado ツールを起動したディレクトリまたは pwd コマンドで返される現在の作業ディレクトリを基準として指定します。

ヒント: パスをファイル名の一部として指定しない場合は、現在の作業ディレクトリまたは Vivado ツールを起動したディレクトリにファイルが作成されます。

既存のファイルにコマンドの結果を追加するには、-append オプションと共に -file オプションを使用します。次の例では、1 つのファイル all_violations.rpt を作成し、2 つのコマンドの結果を保存しています。

report_timing -delay_type max -file all_violations.rpt
report_timing -delay_type min -file -append all_violations.rpt

ファイルが作成されたら、ファイル システムからファイルを開いて確認したり書き込んだりできます。Vivado Design Suite Tcl コンソールには、ファイルにアクセスするさまざまなコマンドがあります。詳細は、ファイルへのアクセス を参照してください。

すべての report_* コマンドでは、-return_string オプションもサポートされています。このオプションを使用すると、コマンドの出力が Tcl 変数に代入可能な文字列として返されます。コマンド出力を変数に代入すると、Tcl スクリプトでのその後の処理に有益で、主要な情報を抽出してフロー制御や分岐を可能にしたり、スクリプトで使用するほかの変数を設定したりできます。

次に、-return_string オプションをサポートするコマンドの一部を示します。

report_clocks
report_clock_interaction
report_disable_timing
report_environment
report_high_fanout_nets
report_operating_conditions
report_power
report_property
report_pulse_width
report_route_status
report_utilization

レポート コマンドから返された文字列を改行文字 \n で分離して、文字列をリストとして行ごとに処理できます。

set timeLines [split [report_timing -return_string -max_paths 10] \n ]

Vivado Design Suite Tcl コンソールには、文字列を操作するさまざまなコマンドがあります。詳細は、文字列の操作 を参照してください。