HDL 言語テンプレートの使用 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: システム レベル デザイン入力 (UG895)

Document ID
UG895
Release Date
2023-10-19
Version
2023.2 日本語

Vivado IDE には、XPM (AMD パラメーター化マクロ) およびライブラリ プリミティブを含め、多くの Verilog、VHDL、XDC 構造のテンプレートが含まれます。テンプレートを表示するには、

  1. Vivado IDE テキスト エディターで Language Templates ツールバー ボタン をクリックします。
  2. Tools > Language Templates をクリックします。

    [Language Templates] ウィンドウが Verilog、VHDL、SystemVerilog、XDC、Debug のフォルダー別に表示されます。

    図 1. [Language Templates] ウィンドウ

テンプレートを選択すると、テキスト エディターのポップアップ メニューから Insert Template を使用できるようになります。これをクリックすると、選択したテンプレートのテキストが編集するファイルのカーソルの位置に挿入されます。[Language Templates] ウィンドウからテキストをコピーして貼り付けることもできます。サポートされるコマンドは、 『Vivado Design Suite ユーザー ガイド: Vivado IDE の使用』 (UG893) を参照してください。