IP ソースの操作 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: システム レベル デザイン入力 (UG895)

Document ID
UG895
Release Date
2023-10-19
Version
2023.2 日本語
注記: IP の追加、パッケージ、シミュレーション、アップグレードなど IP に関する詳細は、 『Vivado Design Suite ユーザー ガイド: IP を使用した設計』 (UG896) を参照してください。

Vivado IDE では、RTL プロジェクトで次のようなタイプの RTL プロジェクトの IP コアを追加および管理できます。

  • Vivado Design Suite AMD コアのインスタンス ファイル (XCI)

    XCI フォーマットの IP コアは Vivado Design Suite のネイティブ ファイルで、デザインまたはプロジェクトに追加できます。追加するには、AMD IP カタログの IP コアをカスタマイズするか、または File > Add Sources をクリックして、直接ファイルを追加します。XCI ファイルには IP コアの設定および制約オプションが保存されています。これらは、IP をデザインに追加するときに指定またはカスタマイズできます。

    重要: プロジェクト モードまたは非プロジェクト モードで IP を使用する場合は、合成された DCP ファイルではなく、常に XCI ファイルをデザインに追加してください。XCI ファイルを使用することにより、ツールで必要となる IP コアの出力ファイルが生成され、デザイン フローを通して一貫して使用されるようになります。
  • Vivado Design Suite コア コンテナー ファイル (XCIX)

    コア コンテナー機能は、IP を表す 1 つのファイルを提供することにより、リビジョン管理システムを使用したファイルの管理を簡単にします。この機能を使用すると、IP 設定および生成されたすべての出力ファイルが 1 つの圧縮バイナリ ファイル (拡張子 .xcix) として保存されます。このファイルは、IP カスタマイズ ファイルである XCI ファイルと同様に機能します。XCIX ファイルは、IP を追加または読み込む際に指定できます。詳細は、 『Vivado Design Suite ユーザー ガイド: IP を使用した設計』 (UG896) を参照してください。

  • Vivado IP パッケージャーを使用してパッケージしたユーザー IP (XCI)

    『Vivado Design Suite ユーザー ガイド: カスタム IP の作成とパッケージ』 (UG1118) には、AMD IP カタログで使用するために、ユーザー定義の IP をパッケージする方法が説明されています。ユーザー IP のリポジトリは、IP_REPO_PATHS プロパティを使用してカタログに追加できます。このプロパティは、サードパーティ IP またはユーザー定義の IP を含むディレクトリへのパスを定義します (複数のディレクトリも定義可能)。詳細は、 『Vivado Design Suite プロパティ リファレンス ガイド』 (UG912) の「IP_REPO_PATHS」を参照してください。

  • CORE Generator IP コア (XCO)

    CORE Generator ツールからのレガシ IP は Vivado Design Suite でサポートされています。これらのレガシ IP はデザインにインポートするときにロックされるので、IP をデザインにインプリメントするには、NGC (ネットリスト) ファイルが必要です。IP の XCI アップグレードが提供されていれば、IP コアを右クリックして Upgrade IP をクリックすると、アップデートできます。

  • サードパーティ IP ネットリスト

    サードパーティから IP が合成済み NGC または EDIF ネットリストとして提供されているケースもあります。Add Sources コマンドを使用して、これらのファイルを階層デザイン ファイルとしてプロジェクトまたはデザインに読み込むことができます。詳細は、デザイン ソースの作成と追加 を参照してください。