制約セットの使用 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: システム レベル デザイン入力 (UG895)

Document ID
UG895
Release Date
2023-10-19
Version
2023.2 日本語

制約セットは、それぞれ個別に管理される 1 つまたは複数の制約ファイルで構成され、解析およびインプリメンテーション用に連結されてインメモリ デザインに適用されます。制約セットでは、デザイン プロセスのある時点または特定の条件下で使用される制約ファイルが定義されます。複数の制約セットを定義することにより、フロアプランやタイミングの問題を解決するために異なる制約を試すことができます。

XDC ファイルは、合成とインプリメンテーションのいずれか、または両方で使用されます。デフォルトでは、制約ファイルの USED_IN プロパティで定義されているように、すべての XDC ファイルが合成およびインプリメンテーションの両方で使用されるように設定されます。USED_IN プロパティを変更するには、[Sources] ウィンドウで XDC ファイルを選択し、[Source File Properties] ウィンドウの [General] ビューで該当するチェック ボックスをクリックして、オン/オフを切り替えます。詳細は、 『Vivado Design Suite プロパティ リファレンス ガイド』 (UG912) の「USED_IN」を参照してください。

図 1. XDC ファイルの USED_IN プロパティ