IP カスタマイズ ファイルのクエリ検索 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: IP を使用した設計 (UG896)

Document ID
UG896
Release Date
2023-11-03
Version
2023.2 日本語

ソース制御用にファイルを取得する Tcl スクリプト

次のスクリプト例は、IP カスタマイズのすべてのファイルを取得する方法を示しています。このスクリプトを使用すると、ソース制御システムで使用可能なファイルのリストが生成されます。

# Create a project in memory, no project directory
# created on disk
create_project -in_memory -part <part> 
# read an IP customization
read_ip <ip_name>.xci
# Generate all the output products 
generate_target all [get_ips <ip_name>]
# Create a DCP for the IP
synth_ip [get_ips <ip_name>]
# Query all the files for this IP
get_files -all -of_objects [get_files <ip_name>.xci]
注記: これらの Tcl コマンドに関する詳細な説明と使用するタイミングについては、次の図を参照してください。
注記: HLS IP の synth_ip を実行する前に、generate_target all [get_ips] および compile_c [get_ips]commands を実行します。

順番に並べられたソース リストのクエリ検索

カスタム スクリプトを作成するには、次のいずれかの Tcl コマンドを使用します。

IP のみ: 合成

get_files -compile_order sources -used_in synthesis \
-of_objects [get_files <ip_name>.xci]

IP のみ: シミュレーション:

get_files -compile_order sources -used_in simulation \
-of_objects [get_files <ip_name>.xci]

最上位デザイン: IP を含んだ合成

get_files -compile_order sources -used_in synthesis 

最上位デザイン: IP を含んだシミュレーション

get_files -compile_order sources -used_in simulation