タイミング解析の実行 - 2020.2 日本語

Vivado Design Suite ユーザー ガイド: System Generator を使用したモデル ベースの DSP デザイン (UG897)

Document ID
UG897
Release Date
2020-11-18
Version
2020.2 日本語

次のコンパイル ターゲットのいずれかを生成するたびに、タイミング解析を実行できます。

  • IP カタログ
  • ハードウェア協調シミュレーション
  • 合成済みチェックポイント
  • HDL ネットリスト

System Generator でタイミング解析を実行するには、次の手順に従います。

  1. Simulink モデルで System Generator トークンをダブルクリックします。
  2. System Generator トークンのダイアログ ボックスで次のように設定します。
    • Compilation タブで Target Directory を指定します。
    • Clocking タブで、ランタイムを重視するか、正確さを重視するかによって、Perform Analysis フィールドを Post Synthesis または Post Implementation に設定します。
    • Clocking タブで Analyzer Type フィールドを Timing に設定します。
    図 1. タイミング解析の実行
  3. System Generator トークンで Generate をクリックします。

    [Generate] をクリックすると、次が実行されます。

    1. System Generator で選択されているコンパイル ターゲットに必要なファイルが生成されます。タイミング解析では、System Generator によりデザイン プロジェクト用に Vivado がバックグランドで起動され、デザインのタイミング制約が Vivado に渡されます。
    2. Perform AnalysisPost Synthesis を選択したか、Post Implementation を選択したかによって、デザインは Vivado で合成またはインプリメンテーションまで実行されます。
    3. Vivado ツールの実行が完了すると、タイミング パスの情報が収集され、Vivado タイミング データベースから指定のファイル フォーマットで保存されます。タイミング パス データが収集されると、Vivado プロジェクトが閉じ、 MATLAB® /System Generator プロセスに戻ります。
    4. System Generator はタイミング情報を処理し、タイミング解析の表にタイミング パス情報を表示します (次の図を参照)。
    図 2. タイミング解析の表

    タイミング解析の表には、次のように表示されます。

    • Simulink モデルからのパス (重複しないもののみ) がレポートされます。
    • スタック値が最も低いパスから 50 個表示されます。ワースト スラックがのものが 1 番上に表示され、低いものから順に表示されます。
    • タイミング違反が発生しているパスのスラック値は負の値であり、赤で表示されます。
    • 表示順序は、列見出しをクリックして列の値順に並べ替えることができます。
    • 非表示にする列がある場合は、列見出しを右クリックし、非表示にする列のチェック ボックスをオフにします。
      図 3. 列の表示/非表示の指定

    • マルチサイクル パス制約のあるデザインでは、マルチサイクル パス制約が特定され、Path Constraints 列に表示されます。その場合、異なるサンプリング レートを反映させるため、Source Clock および Destination Clock 列にクロック イネーブル信号が表示されます。
      図 4. クロック イネーブル信号

    • 表の中でパスを選択すると Simulink モデルにクロスプローブでき、Simulink モデルの対応する System Generator ブロックがハイライトされます。タイミング解析結果からモデルへのクロスプローブ を参照してください。