リソース解析を使用した最適化に関する推奨事項 - 2020.2 日本語

Vivado Design Suite ユーザー ガイド: System Generator を使用したモデル ベースの DSP デザイン (UG897)

Document ID
UG897
Release Date
2020-11-18
Version
2020.2 日本語

リソース解析を使用してデザインのリソース使用率を最適化する際は、次のことを推奨します。

  • リソース解析をすばやく実行するには、インプリメンテーション後の解析よりも、合成後の解析が適しています。
  • Vivado 合成プロセス中にロジックが最適化された後は、Vivado データベースでマージされたロジックに関する情報はツールでは保存されません。ロジックがマージされていたり共有されていると、Vivado リソース データから Simulink モデルへ正確にクロスプローブするのが難しい場合があります。このため、マージされたロジックおよび共有ロジックを制御するには、カスタムの Vivado 合成ストラテジを作成することをお勧めします。

    Vivado IDE でのカスタム合成ストラテジの作成方法は、 『Vivado Design Suite ユーザー ガイド: Vivado IDE の使用』 (UG893)このセクションを参照してください。

    マージおよび共有されたロジックを Vivado IDE で制御するには、デフォルトの Vivado 合成ストラテジに次の変更を加える必要があります。

    1. Vivado IDE で次の合成オプションを設定します。
      • 合成オプション -keep_equivalent_registers を選択します。
      • 合成オプションで -resource_sharingoff に設定します。
    2. 新しい合成ストラテジを保存して Vivado IDE を終了します。
    3. System Generator でデザインを生成する前に、System Generator トークンのダイアログ ボックスで Synthesis strategy でカスタム合成ストラテジ ([Custom for timing analysis]) を選択します。
図 1. 合成ストラテジ