複数の AXI4-Lite インターフェイスの管理 - 2020.2 日本語

Vivado Design Suite ユーザー ガイド: System Generator を使用したモデル ベースの DSP デザイン (UG897)

Document ID
UG897
Release Date
2020-11-18
Version
2020.2 日本語

System Generator では、複数の AXI4-Lite インターフェイスを含む IP の作成がサポートされます。Gateway In および Gateway Out ブロックを、異なる AXI4-Lite インターフェイスにまとめることができます。この機能は、複数クロック デザインでも使用できます。ソフトウェア ドライバーも提供されます。

AXI4-Lite インターフェイスに名前を付けるには、インターフェイスに関連付けられている Gateway In および Gateway Out ブロックの Interface Name にインターフェイス名を入力します。

Interface Name が同じ Gateway In および Gateway Out ブロックは、1 つの AXI4-Lite インターフェイスにまとめられます。Interface Name で指定するインターフェイス名は、小文字のアルファベットで開始し、英数字 (アルファベットは小文字) およびアンダースコア (_) のみを含めることができます。複数のクロック ドメインで Interface Name に同じインターフェイス名を指定することはできません。

図 1. [Interface Name]

ネットリストを生成するには、コンパイル ターゲットを IP Catalog または HDL Netlist に設定します。

System Generator トークンでコンパイル ターゲットを HDL Netlist に設定して Vivado でデザインをエラボレートすると、次の図の赤いボックスで示すように、AXI4-Lite デコーダーが 2 つ作成されます。

図 2. AXI4-Lite デコーダー

System Generator トークンでコンパイル ターゲットを IP Catalog に設定すると、複数の AXI4-Lite インターフェイスと aresetn 信号を含むサンプル BD も生成されます。

インターフェイスの命名規則は次のとおりです。


<clock domain name/design name>_<interface name>_s_axi

図 3. サンプル BD

IP を説明するファイルを生成するには、コンパイルを実行する前に、System Generator トークンの Compilation タブで Create interface document をオンにします。

図 4. [Create interface document] チェック ボックス

資料には、ほかの Vivado IP の資料と同じようにアクセスできます。Vivado 回路図で IP をダブルクリックし、Documentation > Product Guide をクリックします。

図 5. 資料へのアクセス

次のようなファイル (HTML) が開きます。

図 6. 資料の例

この資料には、IP のメモリ マップに関するセクションが含まれています。AXI4-Lite インターフェイスの Gateway In または Gateway Out ポートで Auto assign address offset をオンにした場合は、インターフェイスがマップされているアドレスを確認できます。



ソフトウェア ドライバーは、自動的に生成され、 Vitis™ ソフトウェア プラットフォームにパッケージされます。ソフトウェア ドライバーの資料は、Vitis 環境に含まれます。

図 7. ソフトウェア ドライバーの資料