コンフィギュレーション バンク電圧セレクト ピンの設定 - 2023.2 日本語 - 2023.1 日本語

Vivado Design Suite ユーザー ガイド: I/O およびクロック プランニング (UG899)

Document ID
UG899
Release Date
2023-10-18
Version
2023.2 日本語

コンフィギュレーション バンク電圧セレクト (CFGBVS) ロジック入力ピンは、VCCO_0 および GND 間で参照されます。CFGBVS ピンは High または Low に設定して、バンク 0 のピンの I/O 電圧サポートを決定する必要があります。Vivado ツールでは、Tcl コマンドを使用して CFGBVS の接続 VCCO または GND に設定します。コンフィギュレーション電圧 (VCCO_0) は 1.5、1.8、2.5、または 3.3 に設定できます。これらの設定に基づいて 7 シリーズ デバイスの場合は DRC がバンク 0、14、15 で実行され、UltraScale デバイスの場合はバンク 0 および 65 で実行されます。これらの値は、IBIS モデルをエクスポートする際にも使用されます。

次に例を示します。

set_property CFGBVS VCCO [current_design]
set_property CONFIG_VOLTAGE 3.3 [current_design]

デフォルトでは、CFGBVS プロパティは空です。Vivado ツールにより CFGBVS プロパティが VCCO または GND に設定されているかがチェックされます。CFGBVS プロパティに値が設定されている場合は、Vivado ツールにより CONFIG_MODE プロパティがチェックされます。バンクの IOSTANDARD および CONFIG_VOLTAGE 設定に基づいて、DRC メッセージが表示されます。

Vivado ツールで CSV ファイルをエクスポートすると、CONFIG_MODE プロパティの設定に基づいて、関連するバンク (7 シリーズ デバイスではバンク 0、14、および 15、AMD UltraScale™ アーキテクチャ ベース デバイスではバンク 0 および 65) の VCCO 接続情報が提供されます。たとえば、JTAG/バウンダリスキャンを使用する場合、CFGBVS が GND に、CONFIG_VOLTAGE が 3.3 に設定されていると、クリティカル警告 DRC CFGBVS-4 が表示されます。これは、CONFIG_VOLTAGE が設定されていても、その値を VCCO (1.8V) に変更する必要があることを示しています。AMD UltraScale+™ デバイスの場合、CFGBVS または CONFIG_VOLTAGE を手動で設定することはできません。デフォルトでは、CFGBVS は GND に設定され、CONFIG_VOLTAGE は 1.8V に設定されます。

注記: CFGBVS ピンの詳細は、該当するデバイスの資料を参照してください。
  • 『7 シリーズ FPGA コンフィギュレーション ユーザー ガイド』 (UG470)
  • 『UltraScale アーキテクチャ コンフィギュレーション ユーザー ガイド』 (UG570)
  • 『Zynq UltraScale+ デバイス テクニカル リファレンス マニュアル』 (UG1085)