[Force Constant] - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: ロジック シミュレーション (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 日本語

Force Constant オプションを使用すると、信号を定数値に固定し、HDL コード内で割り当てられた値や前回適用された別の定数、または [Force Clock] を変更できます。

Force Constant および Force Clock は、Objects ウィンドウまたは波形ウィンドウで右クリックして表示されるオプションです (次の図を参照)。または、テキスト エディターでソース コードを開いて使用できます。

ヒント: ObjectsSourcesScope ウィンドウでアイテムをダブルクリックすると、テキスト エディターが開きます。テキスト エディターの追加情報は、 『Vivado Design Suite ユーザー ガイド: Vivado IDE の使用』 (UG893) を参照してください。
図 1. force 関連のコマンド

force オプションは、Vivado シミュレータで force がサポートされていないオブジェクトに対しては無効になります。オブジェクトのタイプ、または Vivado シミュレータでのこれらのオブジェクトのモデリングに関する制限により、これらのオブジェクトがサポートされない場合があります。

ヒント: force オプションが無効になったモジュールまたはエンティティ ポートに force を実行するには、その接続された実際の信号の 1 レベル上のスコープで force を実行してみます。add_force Tcl コマンド (例: add_force myObj 0) を使用すると、オプションが無効になる理由が表示されます。

Force Constant をクリックすると、次の図に示す Force Constant ダイアログ ボックスが開き、関連する値を入力できます。

図 2. Force Constant ダイアログ ボックス

Force Constant ダイアログ ボックスのオプションは、次のとおりです。

  • Signal name: デフォルトの信号名 (選択したオブジェクトの完全パス名) を表示します。
  • Value radix: 選択した信号の基数を指定します。サポートされている基数タイプ (2 進数、16 進数、符号なしの 10 進数、符号付きの 10 進数、符号付き大きさ、8 進数、ASCII) のいずれかを選択できます。GUI には、基数設定に基づいた値の入力のみが可能になります。たとえば、[Binary] を選択すると、0 と 1 以外の数値は入力できません。
  • Force value: 選択された基数基づいて強制する定数値を指定します。基数の詳細は、デフォルト基数の変更 および アナログ波形の使用 を参照してください。
  • Starting after time offset: 指定した時間後に開始します。デフォルトの開始時間は 0 です。時間は、10 や 10 ns のように、文字列で指定できます。単位を付けずに数値だけ入力すると、Vivado シミュレータではデフォルト単位の ns が使用されます。
  • Cancel after time offset: 指定した時間後にキャンセルします。時間は、10 や 10 ns のように、文字列で指定できます。単位を付けずに数値だけ入力すると、デフォルトのシミュレーション時間単位が使用されます。

    Tcl コマンド:

    add_force /testbench/TENSOUT 1 200 -cancel_after 500