IEEE の std_logic 型 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: ロジック シミュレーション (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 日本語

VHDL の std_logic および std_ulogic の 1 ビットは、C/C++ では 1 バイトとして表現されます (char または符号なしの char)。次の表に、std_logic/std_ulogic の値およびそれと等価の C/C++ 型を示します。

表 1. std_logic/std_ulogic 値およびそれに対応する C/C++ 値
std_logic C/C++ バイト値 (10 進数)
'U‘ 0
‘X‘ 1
‘0‘ 2
‘1‘ 3
‘Z‘ 4
‘W‘ 5
‘L‘ 6
‘H‘ 7
‘_‘ 8

コード例

// Put a '1' on signal "clk," where "clk" is defined as
// signal clk : std_logic;
const char one_val = 3; // C encoding for std_logic '1'...
int clk = loader.get_port_number("clk");
loader.put_value(clk, &one_val); // set clk to 1