Tcl コマンド log_wave の使用 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: ロジック シミュレーション (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 日本語

log_wave コマンドを使用すると、Vivado シミュレータの波形ビューアーで指定した HDL オブジェクトを表示するため、シミュレーション出力を記録できます。add_wave とは異なり、log_wave コマンドでは波形ビューアー (波形設定) に波形オブジェクトは追加されず、Vivado シミュレータの波形データベース (wdb) への出力が記録されるようになるだけです。

ヒント: オブジェクト挿入以前のオブジェクト値を表示するには、シミュレーションを実行し直す必要があります。値がないためにシミュレーションを再実行するのを避けるには、シミュレーション run を開始するときに、log_wave -r / Tcl コマンドを実行してデザインの表示可能な HDL オブジェクトすべての値を取り込みます。

構文

log_wave [-recursive] [-r] [-quiet] [-verbose] <hdl_objects>...

Tcl コマンド log_wave の使用例

波形出力を記録するには、次を使用します。

  • デザイン内のすべての信号 (代替の最上位モジュールのものを除く):
    log_wave -r /
  • スコープ /tb 内のすべての信号:
    log_wave /tb/*
  • a で始まって b で終わり、ab 間に数字が含まれる名前のオブジェクト:
    log_wave [get_objects -regexp {^a[0-9]+b$}]
  • 現在のスコープおよびすべての下位スコープ内のすべてのオブジェクト:
    log_wave -r *
  • メッセージの制限を一時的に解除し、コマンドからのすべての出力を返します。
    log_wave -v 
  • 現在のスコープ内のオブジェクト:
    log_wave * 
  • スコープ /tb/UUT のポートのみ:
    log_wave [get_objects -filter {type == in_port || type == out_port || type == 
    inout_port || type == port} /tb/UUT/*]
  • スコープ /tb/UUT の内部信号のみ:
    log_wave [get_objects -filter {type == signal} /tb/UUT/*]

信号順、名前形式、基数、色などの波形設定は、必要に応じて波形設定 (WCFG) ファイルに保存されます。Vivado シミュレータを使用したシミュレーション波形の解析を参照してください。