UVM のサポート - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: ロジック シミュレーション (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 日本語

AMD Vivado™ 統合設計環境では、Vivado シミュレータ (XSim) で UVM (Universal Verification Methodology) がサポートされています。UVM バージョン 1.2 のライブラリがコンパイル済みであり、Vivado で使用可能です。デザインを Vivado で実行している場合は、設定は必要ありません。スタンドアロン Vivado シミュレータを実行している場合は、-L uvmxvlog および xelab コマンドに渡す必要があります。

デフォルトでは、Vivado シミュレータで UVM バージョン 1.2 がサポートされます。UVM バージョン 1.1 を使用するには、xvlog および xelab コマンドに -uvm_version 1.1 を渡します。Vivado 統合設計環境で使用する場合は、次のプロパティを設定します。

set_property -name {xsim.compile.xvlog.more_options} -value {-uvm_version 1.1} -objects [get_filesets sim_1]
set_property -name {xsim.elaborate.xelab.more_options} -value {-uvm_version 1.1} -objects [get_filesets sim_1]

これらのプロパティを Vivado GUI から設定するには、[Settings] ダイアログ ボックスの [Simulation] ページで [Compilation] および [Elaboration] タブを使用します。詳細は、シミュレーション設定 を参照してください。