VHDL の GSR および GTS - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: ロジック シミュレーション (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 日本語

グローバル セット/リセット (GSR) およびグローバル トライステート (GTS) 信号は <Vivado_Install_Dir>/data/vhdl/src/unisims/primitive/GLBL_VHD.vhd モジュールで定義されています。

GLBL_VHD コンポーネントを使用するには、テストベンチにインスタンシエートする必要があります。

GLBL_VHD ファイルはグローバル GSR および GTS 信号を宣言し、自動的に GSR に 100 ns 間パルスを供給します。

次のコードは、テストベンチに GLBL_VHD をインスタンシエートし、ROC (Reset on Configuration) のアサート パルス幅を 90 ns に変更する例を示しています。

GLBL_VHD inst:GLBL_VHD generic map (ROC_WIDTH => 90000);