Verilog UNIFAST ライブラリの使用 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: ロジック シミュレーション (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 日本語

シミュレーション実行時間を短縮するため、高速 GTXE2 シミュレーション モデルでは次の機能が異なっています。

  • GTX リンクは、近端と遠端のリンク パートナー間で PPM (Parts Per Million) レートの差なしで同期する必要があります。
  • GTX を介したレイテンシのハードウェア操作とのサイクル精度は高くありません。

方法 1: フル Verilog UNIFAST ライブラリ (推奨)

方法 1 では、シミュレーションにすべての UNIFAST モデルが使用されます。これが推奨される方法です。

Vivado プロジェクト環境で Vivado シミュレータ、ModelSim、または VCS に対して UNIFAST サポート (高速シミュレーション モデル) をイネーブルにするには、Tcl コンソールで次の Tcl コマンドを実行します。

set_property unifast true [current_fileset –simset]

コンポーネント ファイルに関する詳細は、UNISIM ライブラリを参照してください。

詳細は、サードパーティ シミュレータのユーザー ガイドを参照してください。

方法 2: 特定の UNIFAST モジュールを使用

この方法は上級ユーザー用で、UNIFAST モデルを使用してシミュレーションするモジュールを指定します。

個別のライブラリ コンポーネントを指定するには、Verilog コンフィギュレーション文を使用します。config.v ファイルで次を指定してください。

  • 最上位モジュールまたはコンフィギュレーションの名前 (例:config cfg_xilinx;)
  • デザイン設定を適用する名前 (例: design test bench;)
  • 明示的に呼び出されないセルまたはインスタンスのライブラリ検索順 (例: default liblist unisims_ver unifast_ver;)
  • 特定の CELL または INSTANCE を特定のライブラリにマップ (例: instance testbench.inst.O1 use unifast_ver.MMCME2;)
    注記: ModelSim (vsim) の場合は、階層名に -genblk を追加します (例: instance testbench.genblk1.inst.genblk1.O1 use unifast_ver.MMCME2; - VSIM)。

config.v の例

config cfg_xilinx;
design testbench;
default liblist unisims_ver unifast_ver;
//Use fast MMCM for all MMCM blocks in design
cell MMCME2 use unifast_ver.MMCME2;
//use fast dSO48E1for only this specific instance in the design
instance testbench.inst.O1 use unifast_ver.DSP48E1;
//If using ModelSim or Questa, add in the genblk to the name
(instance testbench.genblk1.inst.genblk1.O1 use unifast_ver.DSP48E1)
endconfig