Vivado Design Suite に含まれる DPI 例 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: ロジック シミュレーション (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 日本語

Vivado Design Suite には、Vivado シミュレータでの DPI の使用方法を理解するのに役立つ 2 つの例が含まれています。これらの例は、<vivado installation dir>/examples/xsim/systemverilog/dpi にあります。まず、このディレクトリに含まれる README ファイルを参照することをお勧めします。含まれる例は、次のとおりです。

  • simple_import: 純粋な関数の単純なインポート
  • simple_export: 純粋な関数の単純なエクスポート
    ヒント: 純粋な関数とは、関数の戻り値がその入力値にのみに基づいて計算される関数のことです。