export_simulation - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: ロジック シミュレーション (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 日本語

ターゲット シミュレータのシミュレーション スクリプトをエクスポートします。生成されるスクリプトには、デザインをコンパイル、エラボレート、およびシミュレーションするシミュレータ コマンドが含まれます。

このコマンドは、指定したオブジェクトのシミュレーション コンパイル順を取得して、この情報をコンパイラ コマンドとターゲット シミュレータのデフォルト オプションを使用してシェル スクリプトにエクスポートします。オブジェクトとしては、シミュレーション ファイルセットまたは IP を指定できます。Vivado IDE 外でシミュレーションを実行する場合は、スクリプト ファイルの生成に launch_simulation -scripts_only ではなく export_simulation を使用できます。
export_simulation [-simulator <arg>] [-of_objects <arg>]
[-step <arg>] [-ip_user_files_dir <arg>] [-
ipstatic_source_dir <arg>]
[-lib_map_path <arg>] [-script_name <arg>]
[-directory <arg>] [-runtime <arg>] [-define <arg>]
[-generic <arg>] [-include <arg>] [-use_ip_compiled_libs]
[-absolute_path] [-export_source_files]
[-generate_hier_access] [-single_step] [-exec] [-force] [-quiet]
[-verbose][-gcc_install_path <arg>] [-more_options <arg>]

使用法

表 1. export_simulation のオプション
名前   説明
[-simulator]  

指定したシミュレータ用のシミュレーション スクリプトを作成します。有効な値は、all、xsim、modelsim、questa、vcs、xcelium、riviera、および activehdl です。

デフォルトは all です。

[-of_objects]  

指定のオブジェクトのシミュレーション スクリプトをエクスポートします。

デフォルトはありません。

[-step]  

シミュレーション スクリプトを生成する必要のあるステップ名を指定します。

デフォルトはありません。

[-ip_user_files_dir]  

エクスポートされた IP/BD ユーザー ファイル (スタティック、ダイナミックおよびデータ ファイル) のディレクトリ パスを指定します。Manage IP プロジェクトのデフォルト パスは、ip_user_files です。

デフォルトはありません。

[-ipstatic_source_dir]  

エクスポートされた IP/BD スタティック ファイルへのディレクトリ パスを指定します。

デフォルトはありません。

[-lib_map_path]  

コンパイル済みのシミュレーション ライブラリのディレクトリ パスを指定します。指定しない場合は、生成されたスクリプトのヘッダーの手順に従い、シミュレーション ライブラリのマップ情報を手動で供給してください。

デフォルトはありません。

[-gcc_install_path]   g++/gcc 実行ファイルの GNU コンパイラ インストール ディレクトリへのパス。指定しない場合は、シミュレータのインストール パスの設定に基づいてツールによって検索されます。

デフォルトはありません。

[-script_name]  

出力シェル スクリプトのファイル名を指定します。指定しない場合は、デフォルトという名前のファイルが生成されます。

デフォルトは top_module.sh です。

[-directory]  

生成したシミュレーション スクリプトの保存先ディレクトリを指定します。

デフォルトは export_sim です。

[-runtime]  

シミュレーション ランタイム。

デフォルトでは、シミュレーションが完全に実行されるか、論理的なブレークまたは終了条件に達するまで実行されます。

[-define]  

このオプションで指定したリストから Verilog 定義を読み出します。

デフォルトはありません。

[-generic]  

このオプションで指定したリストから VHDL ジェネリックを読み出します。

デフォルトはありません。

[-include]  

このオプションで指定したリストからインクルード ディレクトリ パスを読み出します。

デフォルトはありません。

[-more_options]   指定のオプションをシミュレータ ツールに渡します。

デフォルトはありません。

-single_step   シングル ステップ スクリプトを書き出します。Xcelium のみでサポートされます。
[-use_ip_compiled_libs]   コンパイル中にコンパイル済みの IP スタティック ライブラリを参照します。このオプションを使用するには、コンパイル済み IP ライブラリを使用してスクリプトを生成するため、-ip_user_files_dir オプション -ipstatic_source_dir オプションも必要です。
[-absolute_path]   すべてのファイルパスを参照ディレクトリに対する絶対パスにします。
[-export_source_files]   IP/BD デザイン ファイルを出力ディレクトリにコピーします。
[-generate_hier_access]   階層アクセス シミュレーション用にパスを抽出します。
-exec   生成されたスクリプトを実行します。
[-force]   既存のファイルを上書きします。
[-quiet]   コマンド エラーを表示しません。
[-verbose]   メッセージの非表示設定を解除し、すべてのメッセージを表示します。

説明

ターゲット シミュレータのシミュレーション スクリプト ファイルをエクスポートします。サポートされるシミュレータは、下のリストを参照してください。生成されるスクリプトには、デザインをコンパイル、エラボレート、およびシミュレーションするシミュレータ コマンドが含まれます。

このコマンドは、指定したオブジェクトのシミュレーション コンパイル順を取得して、この情報をコンパイラ コマンドとターゲット シミュレータのデフォルト オプションを使用してシェル スクリプトにエクスポートします。オブジェクトとしては、シミュレーション ファイルセット、IP、または BD (ブロック デザイン) を指定できます。

オブジェクトを指定しない場合、アクティブなシミュレーション top のスクリプトが生成されます。Verilog のインクルード ディレクトリまたは Verilog の define 文を含むファイルへのパスは、コンパイラ コマンド ラインに追加されます。

デフォルトでは、コンパイラ コマンド ラインのデザイン ソース ファイルおよびインクルード ディレクトリ パスは、生成されるスクリプトで定義される ref_dir 変数に相対するパスとして設定されます。これらのパスを絶対パスにするには、-absolute_path オプションを使用します。

このコマンドでは、ファイルセットからのデータ ファイル (存在する場合) も出力ディレクトリにコピーされます。デザインに Verilog ソースが含まれる場合は、生成されるスクリプトにより glbl.v もソフトウェア インストール パスから出力ディレクトリにコピーされます。

ターゲット シミュレータ用のシミュレーション スクリプトのコンパイラ コマンドで使用されるデフォルト .do ファイルが出力ディレクトリに作成されます。

注記: 生成されたスクリプトでシミュレーションを実行するには、compile_simlib Tcl コマンドを実行し、シミュレーション ライブラリをコンパイルする必要があります。このスクリプトを生成する際には、コンパイル済みライブラリ ディレクトリ パスを指定する必要があります。生成されるスクリプトでは、コンパイル ライブラリ ディレクトリからターゲット シミュレータのセットアップ ファイルが自動的に含まれます。

サポートされるシミュレータ

  • Vivado シミュレータ (xsim)
  • ModelSim シミュレータ (modelsim)
  • Questa Advanced Simulator (questa)
  • Verilog Compiler Simulator (vcs)
  • Riviera-PRO Simulator (riviera)
  • Active-HDL Simulator (activehdl)
  • Cadence 社 Xcelium Parallel Simulator (xcelium)

引数

-of_objects
(オプション) シミュレーション スクリプト ファイルを生成する必要のあるターゲット オブジェクトを指定します。ターゲット オブジェクトとしては、シミュレーション ファイルセット (simset) または IP を指定できます。このオプションを使用しない場合、現在のシミュレーション ファイルセットに対するファイルが生成されます。
-step
(オプション) シミュレーション スクリプト ファイルを生成する必要のあるステップ名を指定します。有効な値は compile、elaborate、simulate です。指定しない場合は、スクリプトにより該当するすべてのステップが実行されます。
-ip_user_files_dir
(オプション) 生成された IP/BD ソースの抽出されたデザイン ファイルへのディレクトリ パスを指定します。Manage IP プロジェクトのデフォルト パスは、ip_user_files です。指定しない場合、抽出されたデザイン ファイルは、IP.USER_FILES_DIR プロジェクト プロパティで設定したデフォルトのディレクトリ パスから選択されます。
-ipstatic_source_dir
(オプション) エクスポートされた IP/BD 生成のスタティック ソース ファイルへのディレクトリ パスを指定します。Manage IP プロジェクトのデフォルト パスは、ip_user_files/ipstatic です。指定しない場合、抽出されたデザイン ファイルは、SIM.IPSTATIC_SOURCE_DIR プロジェクト プロパティで設定したデフォルトのディレクトリ パスから選択されます。
-lib_map_path
(オプション) 選択したシミュレータ用の AMD コンパイル済みシミュレーション ライブラリへのパスを指定します。シミュレーション ライブラリは、compile_simlib を使用してコンパイルします。詳細は、生成されたスクリプトのヘッダー セクションを参照してください。このオプションを指定しない場合、生成されたスクリプトでコンパイル済みシミュレーション ライブラリが参照されず、スタティック IP ファイルがローカルでコンパイルされます。
-gcc_install_path
(オプション) ターゲット シミュレータの GNU 実行ファイルへのディレクトリ パスを指定します。このオプションは、SystemC、C++ または C ソースを含むデザインで指定する必要があります。このオプションを指定しない場合、シミュレータのインストール パスの設定に基づいて GNU 実行ファイルへのパスが計算されます。
-script_name
(オプション) 生成されたスクリプトの名前を指定します。デフォルト名は <simulation_top>.sh です。-of_objects オプションを指定した場合、スクリプトのデフォルト構文は次のようになります。

-of_objects [current_fileset -simset] .sh
-of_objects [get_ips ] .sh
-of_objects [get_files .xci] .sh
-of_objects [get_files .bd] .sh
-absolute_path
(オプション) ソースおよびインクルード ディレクトリ パスを絶対パスにするよう指定します。デフォルトでは、すべてのパスは、-directory オプションで指定された出力ディレクトリに相対するパスとして設定されます。
-force
(オプション) 指定したスクリプト ファイルが存在する場合に上書きします。スクリプト ファイルが存在する場合に -force が指定されていないと、エラー メッセージが表示されます。
-directory
(必須) スクリプト ファイルをエクスポートするディレクトリ パスを指定します。
-simulator
(必須) シミュレーション スクリプトのターゲット シミュレータを指定します。有効なシミュレータ名は、xsimmodelsimquestavcs (または vcs_mx)、xceliumriviera、および activehdl です。
-quiet
(オプション) コマンドをメッセージを表示せずに実行します。コマンド ライン エラーは無視され、エラー メッセージは表示されません。実行中にエラーが発生してもしなくても、TCL_OK が返されます。
-verbose
(オプション) メッセージの非表示設定を一時的に解除し、コマンドからのすべてのメッセージを返します。
-generate_hier_access
(オプション) 階層アクセス シミュレーション用にパスを抽出します。
-runtime
(オプション) シミュレーション実行時間を指定します。このオプションを指定しない場合、論理的なブレークまたは終了宣言に達するまでシミュレーションが実行されます。または、<simulator>.simulate.runtime ファイルセット プロパティを使用して実行時間を指定することもできます。
-define
(オプション) デザインで使用される Verilog 定義のリストを指定します。または、verilog_defines ファイルセット プロパティを使用して定義を指定することもできます。
-generic
(オプション) デザインで使用される VHDL ジェネリックのリストを指定します。または、vhdl_generic ファイルセット プロパティを使用して指定することもできます。
-include
(オプション) デザインの Verilog インクルード ファイルへのインクルード ディレクトリ パスのリストを指定します。または、include_dirs ファイルセット プロパティを使用して指定することも可能です。
-export_source_files
(オプション) 生成されたスクリプトのディレクトリの srcs というサブディレクトリに IP デザイン ファイルをコピーするよう指定します。生成されたスクリプトでは、この srcs ディレクトリからのデザイン ファイルを参照します。