force コマンドの使用 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: ロジック シミュレーション (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 日本語

Vivado シミュレータでは、信号、ワイヤ、レジスタを、指定の時間に、または指定の期間中、特定の値に設定できます。また、ある時間が経過した後にオブジェクトに適用する強制値も設定できます。

ヒント: force は、ある信号に対し HDL で定義された動作を無効にするコマンドであると同時に、Tcl のファースト クラス オブジェクトであり、Tcl 変数として保存できます。

HDL 信号に対して force コマンドを使用すると、HDL デザインで定義されている信号の動作を変更できます。たとえば、信号の動作を次の目的で変更できます。

  • HDL テストベンチにより駆動されていないテストベンチ信号にスティミュラスを供給するため
  • デバッグ中に無効な値を一時的に修正するため (問題の解析を継続できるようにするため)

使用可能な force コマンドは、次のとおりです。

  • Force Constant
  • Force Clock
  • Remove Force

次の図に、次の add_force コマンドを実行したときに、その機能がどのように適用されるかを示します。

add_force mySig {0 t1} {1 t2} {0 t3} {1 t4} {0 t5} -repeat_every tr -cancel_after tc
図 1. -add_force オプションを使用した結果

このコマンドの詳細を表示するには、Tcl コンソールに次を入力します。

add_force -help