xelab、xvhdl、xvlog xsim コマンド オプション - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: ロジック シミュレーション (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 日本語

次の表に、xelabxvhdl、および xvlog xsim コマンドのコマンド オプションを示します。

表 1. xelab、xvhd、xvlog のコマンド オプション
コマンド オプション 説明 使用するコマンド
-d [define] <name>[=<val>] Verilog マクロを定義します。各 Verilog マクロに -d|--define を使用します。マクロのフォーマットは <name>[=<val>] で、<name> はマクロの名前、<value> はオプションのマクロの値です。

xelab

デザイン ファイル、xvhdl および xvlog の解析

-debug <kind> 指定したデバッグ機能をオンにしてコンパイルします。<kind> に有効な値は、次のとおりです。
  • typical: 最も一般的な機能で、line および wave が含まれます。
  • line: HDL ブレークポイント。
  • wave: 波形の生成、条件付き実行、強制値。
  • xlibs: AMD のコンパイル済みライブラリの可視性。このオプションは、コマンド ラインでのみ使用できます。
  • off: すべてのデバッグ機能をオフにします (デフォルト)。
  • all: すべてのデバッグ オプションを使用します。
xelab
-encryptdumps コンパイルされるデザイン ユニットの解析済みダンプを暗号化します。

デザイン ファイル、xvhdl および xvlog の解析

デザイン ファイル、xvhdl および xvlog の解析

-f [-file] <filename> 指定したファイルから追加オプションを読み出します。

xelab

xsim のオプション

デザイン ファイル、xvhdl および xvlog の解析

デザイン ファイル、xvhdl および xvlog の解析

-generic_top <value> 最上位デザイン ユニットのジェネリックまたはパラメーターを指定した値に置き換えます。例: -generic_top "P1=10" xelab
-h [-help] ヘルプ メッセージを表示します。

xelab

xsim のオプション

デザイン ファイル、xvhdl および xvlog の解析

デザイン ファイル、xvhdl および xvlog の解析

-i [include] <directory_name> Verilog の `include を使用して含めたファイルを検索するディレクトリを指定します。各検索ディレクトリに -i|--include を使用します。

xelab

デザイン ファイル、xvhdl および xvlog の解析

-initfile <init_filename> デフォルトの xsim.ini ファイルで提供される設定に追加、またはそれらの設定の代わりに使用する設定を含むユーザー定義のシミュレータ初期化ファイルを指定します。

xelab

デザイン ファイル、xvhdl および xvlog の解析

デザイン ファイル、xvhdl および xvlog の解析

-L [-lib] <library_name> [=<library_dir>]

インスタンシエートされた VHDL 以外のデザイン ユニット (Verilog デザイン ユニットなど) の検索ライブラリを指定します。

各検索ライブラリに -L|--lib を使用します。引数のフォーマットは <name>[=<dir>] で、<name> はライブラリの論理名、<library_dir> はライブラリのオプションの物理ディレクトリです。

xelab

デザイン ファイル、xvhdl および xvlog の解析

デザイン ファイル、xvhdl および xvlog の解析

-log <filename> ログ ファイルの名前を指定します。デフォルトは <xvlog|xvhdl|xelab|xsim>.log です。

xelab

xsim のオプション

デザイン ファイル、xvhdl および xvlog の解析

デザイン ファイル、xvhdl および xvlog の解析

-maxarraysize <arg> VHDL 配列の最大サイズを 2**n に設定します。デフォルトは n = 28、つまり 2**28 です。 xelab
-maxdelay Verilog デザイン ユニットを最大遅延でコンパイルします。 xelab
-maxdesigndepth <arg> エラボレーターで許容されるデザイン階層深さの最大値を変更します。デフォルトは 5000 です。 xelab
-maxlogsize <arg> ログ ファイルの最大サイズを MB で指定します。デフォルトは無制限です。 xsim のオプション
-mindelay Verilog デザイン ユニットを最小遅延でコンパイルします。 xelab
-mt <arg>

並列実行可能なサブコンパイル ジョブの数を指定します。有効な値は、autooff、または 1 より大きい integer です。

auto に設定すると、xelab でホスト マシンの CPU 数に基づいて並列ジョブの数が選択されます。デフォルトは auto です。

-mt オプションをさらに詳細に制御するには、次のように Tcl プロパティを設定します。

set_property XELAB.MT_LEVEL off|N 
[get_filesets sim_1]
xelab
-nolog ログ ファイルを生成しません。

xelab

xsim の構文

デザイン ファイル、xvhdl および xvlog の解析

デザイン ファイル、xvhdl および xvlog の解析

-noieeewarnings VHDL IEEE 機能からの警告をオフにします。 xelab
-noname_unnamed_generate 名前の付いていない生成ブロックに対して名前を付けないようにします。

xelab

デザイン ファイル、xvhdl および xvlog の解析

-notimingchecks Verilog 指定ブロックでタイミング チェック コンストラクトを無視します。 xelab
-nosdfinterconnectdelays SDF の SDF ポートおよびインターコネクト遅延コンストラクトを無視します。 xelab
-nospecify Verilog パス遅延とタイミング チェックを無視します。 xelab
-O <arg> 最適化をイネーブルまたはディスエーブルにします。
  • -O 0: 最適化をディスエーブル
  • -O 1: 基本的な最適化をイネーブル
  • -O 2: 最もよく使用される最適化をイネーブル (デフォルト)
  • -O 3: アドバンス最適化をイネーブル
注記: 値が小さいほどコンパイル時間は短くなりますが、シミュレーションは低速になります。値が大きいほどコンパイル時間は長くなりますが、シミュレーションは高速になります。
xelab
-override_timeunit すべての Verilog モジュールの時間単位を -timescale オプションで指定した値に置き換えます。 xelab
-override_timeprecision すべての Verilog モジュールの時間精度を -timescale オプションで指定した時間精度に置き換えます。 xelab
-pulse_e <arg> パス パルス エラー制限をパス遅延のパーセントで指定します。有効な値は 0 ~ 100 です (デフォルトは 100)。 xelab
-pulse_r <arg> パス パルス拒否制限をパス遅延のパーセントで指定します。有効な値は 0 ~ 100 です (デフォルトは 100)。 xelab
-pulse_int_e arg インターコネクト パルス拒否制限を遅延のパーセントで指定します。有効な値は 0 ~ 100 です (デフォルトは 100)。 xelab
-pulse_int_r <arg> インターコネクト パルス拒否制限を遅延のパーセントで指定します。有効な値は 0 ~ 100 です (デフォルトは 100)。 xelab
-pulse_e_style <arg> パルスがモジュール パス遅延よりも短いことを示すエラーをいつレポートするかを指定します。有効な値は次のとおりです。
  • ondetect: 違反が検出されたときにエラーをレポートします。
  • onevent: モジュール パス遅延後にエラーをレポートします。
デフォルトは onevent です。
xelab
-prj <filename> vhdl|verilog <work lib> <HDL file name> の入力が 1 つまたは複数含まれる Vivado シミュレータ プロジェクト ファイルを指定します。

xelab

デザイン ファイル、xvhdl および xvlog の解析

デザイン ファイル、xvhdl および xvlog の解析

-r [-run] 生成された実行可能スナップショットをコマンド ラインの対話型モードで実行します。 xelab
-rangecheck ランタイム値の範囲のチェックをイネーブルにします (VHDL)。 xelab
-R [-runall] 生成された実行可能スナップショット ファイルをシミュレーションの最後まで実行します。

xelab

xsim の構文

-relax 厳密な言語規則を緩和します。

xelab

デザイン ファイル、xvhdl および xvlog の解析

デザイン ファイル、xvhdl および xvlog の解析

-s [-snapshot] <arg> 出力されるシミュレーション スナップショットの名前を指定します。デフォルトは <worklib>.<unit> です (例: work.top)。追加のユニット名は # で連結します (例: work.t1#work.t2)。 xelab
-sdfnowarn SDF 警告を出力しません。 xelab
-sdfnoerror SDF ファイルで見つかったエラーを警告として処理します。 xelab
-sdfmin <arg> <root>=<file> という形式で指定し、<file> という SDF ファイルの最小遅延を <root> にアノテートします。 xelab
-sdftyp <arg> <root>=<file> という形式で指定し、<file> という SDF ファイルの標準遅延を <root> にアノテートします。 xelab
-sdfmax <arg> <root>=<file> という形式で指定し、<file> という SDF ファイルの最大遅延を <root> にアノテートします。 xelab
-sdfroot <root_path> SDF アノテーションを適用するデフォルトのデザイン階層を指定します。 xelab
-sourcelibdir <sourcelib_dirname>

コンパイルされていないモジュールの Verilog ソース ファイルのディレクトリを指定します。

各ソース ディレクトリに -sourcelibdir <sourcelib_dirname> を使用します。

xelab

デザイン ファイル、xvhdl および xvlog の解析

-sourcelibext <file_extension>

コンパイルされていないモジュールの Verilog ソース ファイルのファイル拡張子を指定します。

ソース ファイル拡張子に -sourcelibext <file extension> を使用します。

xelab

デザイン ファイル、xvhdl および xvlog の解析

-sourcelibfile <filename> コンパイルされていないモジュールを含む Verilog ソース ファイルのファイル名を指定します。

xelab

デザイン ファイル、xvhdl および xvlog の解析

-stat CPU、メモリ使用量、デザイン統計を表示します。 xelab
-sv 入力ファイルを SystemVerilog モードでコンパイルします。 デザイン ファイル、xvhdl および xvlog の解析
-timescale Verilog モジュールのデフォルトの時間単位を指定します。デフォルトは 1ns/1ps です。 xelab
-timeprecision_vhdl <arg> VHDL デザインの時間精度を指定します。デフォルトは 1ps です。 xelab
-transport_int_delays インターコネクト遅延に転送モデルを使用します。 xelab
-typdelay Verilog デザイン ユニットを標準遅延 (デフォルト) でコンパイルします。 xelab
-v [verbose] [0|1|2] 表示メッセージの詳細レベルを指定します。デフォルトは 0 です。

xelab

デザイン ファイル、xvhdl および xvlog の解析

デザイン ファイル、xvhdl および xvlog の解析

-version コンパイラ バージョンを表示します。

xelab

xsim のオプション

デザイン ファイル、xvhdl および xvlog の解析

デザイン ファイル、xvhdl および xvlog の解析

-work <library_name> [=<library_dir>]

work ライブラリを指定します。この引数のフォーマットは <name>[=<dir>] です。

  • <name>: ライブラリの論理名。
  • <library_dir>: ライブラリの物理ディレクトリ (オプション)。

デザイン ファイル、xvhdl および xvlog の解析

デザイン ファイル、xvhdl および xvlog の解析

-sv_root <arg>

DPI ライブラリを含むルート ディレクトリを指定します。

デフォルトは <current_directory/xsim.dir/xsc> です。

xelab
--sc_lib arg SystemC 関数の共有ライブラリ名 (.dll/.so) をファイル拡張子なしで指定します。 xelab
--sc_root <arg> SystemC ライブラリを含むルート ディレクトリを指定します。デフォルトは <current_directory>/xsim.dir/work/xsc です。 xelab
-sv_lib <arg> DPI インポートされた関数の共有ライブラリ名 (.dll/.so) をファイル拡張子なしで指定します。 xelab
-sv_liblist <arg> DPI 共有ライブラリをポイントするブートストラップ ファイルを指定します。 xelab
-dpiheader <arg> エクスポートおよびインポートされた関数のヘッダー ファイル名を指定します。 xelab
-driver_display_limit <arg> 指定した最大サイズまでの信号のドライバー デバッグをイネーブルにします (デフォルト: n = 65536)。 xelab
-dpi_absolute Linux で lib<libname>.so という形式の DPI ライブラリに LD_LIBRARY_PATH ではなく絶対パスを使用します。 xelab
-incr シミュレーションでインクリメンタル解析/エラボレーションをイネーブルにします。

デザイン ファイル、xvhdl および xvlog の解析

デザイン ファイル、xvhdl および xvlog の解析

xelab

-93_mode VHDL を 93 モードでコンパイルします。

デザイン ファイル、xvhdl および xvlog の解析

xelab

-2008 VHDL を 2008 モードでコンパイルします。 デザイン ファイル、xvhdl および xvlog の解析
-nosignalhandlers コンパイラでアンチウィルス、ファイアウォール信号を受信しないようにします。

デザイン ファイル、xvhdl および xvlog の解析

デザイン ファイル、xvhdl および xvlog の解析

xelab

-dpi_stacksize <arg> DPI タスクのユーザー定義のスタック サイズ。 xelab
-transform_timing_checkers タイミング チェッカーを Verilog プロセスに変換します。 xelab
-a

run-all を実行するスタンドアロンのインタラクティブではない実行ファイルを生成します。

常に -R と共に使用してください。

デバッグ機能なしでシミュレーションをより高速に実行するには、-standalone-R を一緒に使用します。これにより、Vivado IDE を起動せずにシミュレーションがスタンドアロンで起動されます。このオプションを使用すると、ライセンスの読み込み時間が短縮されます。

xelab
-ignore_assertions SystemVerilog の同時処理アサートを無視します。 xelab
-ignore_coverage SystemVerilog の機能カバレッジを無視します。 xelab
-cov_db_dir <arg> 機能カバレッジ データベースのダンプを保存するディレクトリを指定します。カバレッジ データは、<arg>/xsim.covdb/<cov_db_name> ディレクトリに保存されます。デフォルトは ./ です。 xelab
-cov_db_name <arg> 機能カバレッジ データベースの名前を指定します。カバレッジ データは、<cov_db_dir>/xsim.covdb/<arg> ディレクトリに保存されます。デフォルトはスナップショット名です。 xelab
-uvm_version <arg> UVM バージョンを指定します。デフォルトは 1.2 です。 デザイン ファイル、xvhdl および xvlog の解析 xelab
-report_assertion_pass パス アクション ブロックがない場合でも、SystemVerilog 並列アサーション パスをレポートします。 xelab
-dup_entity_as_module 混合言語デザインの Verilog 階層内で階層参照のレポートをイネーブルにします。
注意:
これにより、コンパイル速度が大幅に低下する可能性があります。
xelab
-cc_celldefines セル定義属性が設定されているライブラリ/モジュールに対してコード カバレッジ情報をキャプチャする必要があるかどうかを指定します。デフォルトでは OFF です。 xelab
-cc_libs 指定されているライブラリすべてに対してコード カバレッジ情報をキャプチャする必要があるかどうかを指定します。デフォルトでは OFF です。 xelab
-cc_type arg コード カバレッジ統計 -bcesfxt を生成するためのオプションを指定します。(s)Statement Coverage、(b)Branch Coverage、(c)Condition Coverage がサポートされます。 xelab
-cc_db arg コード カバレッジ データベースは <cc_dir_argvalue>/xsim.codecov/<cc_db_argvalue> 内に保存されます。デフォルトは SnapshotName です。 xelab
-cc_dir arg コード カバレッジ データベースは <cc_dir_argvalue>/xsim.codeCov/<cc_db_argvalue> ディレクトリ下に保存されます。デフォルトは ./xsim.codecov/ です。 xelab
-ignore_localparam_override Ignore localparam override xelab