xsim のオプション - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: ロジック シミュレーション (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 日本語
表 1. xsim コマンドのオプション
xsim オプション 説明
-f [-file] <filename> ファイルからコマンド ライン オプションを読み込みます。
-g [-gui] 対話型 GUI で実行します。
-h [-help] ヘルプ メッセージを表示します。
-log <filename> ログ ファイルの名前を指定します。
-maxdeltaid arg (=-1) 最大差異を指定します。この値と最大シミュレーション ループを同時に超える場合にエラーをレポートします。
-maxlogsize arg (=-1) ログ ファイルの最大サイズを MB で指定します。デフォルトは無制限です。
-ieeewarnings VHDL IEEE 関数からの警告をイネーブルにします。
-nolog ログ ファイルが生成されないようにします。

-nosignalhandlers

シミュレーションでの OS レベル信号ハンドラーのインストールをディスエーブルにします。シミュレータでは、パフォーマンスの理由から、整数の 0 での除算など、OS レベルの致命的ランタイム エラーになる可能性のある状況は明示的にはチェックされません。その代わりに信号ハンドラーがインストールされ、このようなエラーを検出してレポートを生成します。

信号ハンドラーをディスエーブルにすると、セキュリティ ソフトウェアが存在していてもシミュレーションを実行できますが、OS レベルの致命的エラーにより、エラーの原因がはっきりと示されないまま突然シミュレーションが停止してしまうことがあります。

注意:
このオプションは、セキュリティ ソフトウェアによりシミュレータがうまく動作しない場合にのみ使用してください。
-onfinish <quit|stop> シミュレーション終了時の動作を指定します。
-onerror <quit|stop> シミュレーション ランタイム エラーの発生した場合の動作を指定します。
-R [-runall] シミュレーションを最後まで実行します (例: do 'run all;quit')。
-stats 終了するときにメモリおよび CPU の統計を表示します。
-testplusarg <arg> plusargs$test$plusargs および $value$plusargs システム関数で使用されるように指定します。
-t [-tclbatch] <filename> バッチ モード実行用の Tcl ファイルを指定します。
-tp 実行中のプロセスの階層名を表示します。
-tl 実行中の文のファイル名および行番号を表示します。
-wdb <filename.wdb> 波形データベース出力ファイルを指定します。
-version コンパイラ バージョンを表示します。
-view <wavefile.wcfg> 波形設定ファイルを開きます。-gui オプションと共に使用します。
-protoinst プロトコル解析の .protoinst ファイルを指定します。
-sv_seed SystemVerilog 制約乱数のシードを指定します。
-cov_db_dir 機能カバレッジ データベースのダンプを保存するディレクトリを指定します。カバレッジ データは、<arg>/xsim.covdb/<cov_db_name> ディレクトリに保存されます。デフォルトは ./ または xelab の値セットが継承されます。
-cov_db_name 機能カバレッジ データベースの名前を指定します。カバレッジ データは、<cov_db_dir>/xsim.covdb/<arg> ディレクトリに保存されます。デフォルトはスナップショット名ままたは xelab の値セットが継承されます。
-downgrade_error2info HDL メッセージの重要度をエラーから情報に下げます。
-downgrade_error2warning HDL メッセージの重要度をエラーから警告に下げます。
-downgrade_fatal2info HDL メッセージの重要度を致命的から情報に下げます。
-downgrade_fatal2warning HDL メッセージの重要度を致命的から警告に下げます。
-downgrade_severity HDL メッセージの重要度を下げます。選択肢は次のとおりです。
  • error2warning
  • error2info
  • fatal2warning
  • fatal2info
-ignore_assertions SystemVerilog の同時処理アサートを無視します。
-ignore_coverage SystemVerilog の機能カバレッジを無視します。
-ignore_feature

特定の HDL の機能またはコンストラクトの効果を無視します。選択肢は次のとおりです。

  • assertion
  • coverage
-tempDir 一時ディレクトリの名前を指定します。
-autoloadwcfg 既に保存されている波形コンフィギュレーション ファイルを読み込みます。
ヒント: バッチ ファイルまたはスクリプトで xelabxscxsimxvhdlxcrg、または xvlog コマンドを実行する場合、XILINX_VIVADO 環境変数で Vivado Design Suite のインストール ディレクトリを指定する必要がある場合もあります。XILINX_VIVADO 変数を設定するには、次のいずれかをスクリプトまたはバッチ ファイルに追加します。
  • Windows: set XILINX_VIVADO=<vivado_install_area>/Vivado/<version>
  • Linux: setenv XILINX_VIVADO vivado_install_area>/Vivado/<version>
  • <version> には、2014.3、2014.4、2015.1 など、使用している Vivado ツールのバージョンを指定します。