サポートされるシミュレータ - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: ロジック シミュレーション (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 日本語

次の表に、Vivado Design Suite でサポートされるシミュレータを示します。

表 1. サポートされるシミュレータ
シミュレータ バージョン Vivado IDE との統合
AMD Vivado™ シミュレータ 2023.2 Vivado IDE に統合されており、Vivado IDE 内の各シミュレーションが表示されるウィンドウに含まれます。
Siemens EDA Questa Advanced Simulator 2023.2
Siemens EDA ModelSim Simulator 2023.2(DE)
Synopsys 社 Verilog Compiler Simulator (VCS) U-2023.03-1
Aldec Rivera-PRO Simulator 2022.10
Aldec Active-HDL 14.0
Cadence 社 Xcelium Parallel Simulator 23.03.002

サードパーティ シミュレータのサポートされるバージョンについては、 『Vivado Design Suite ユーザー ガイド: リリース ノート、インストール、およびライセンス』 (UG973) を参照してください。

Vivado IDE および Vivado Design Suite フローの詳細は、次を参照してください。

  • 『Vivado Design Suite ユーザー ガイド: Vivado IDE の使用』 (UG893)
  • 『Vivado Design Suite ユーザー ガイド: デザイン フローの概要』 (UG892)