サードパーティ シミュレータを使用したシミュレーション - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: ロジック シミュレーション (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 日本語

AMD Vivado™ Design Suite では、サードパーティ ツールを使用したシミュレーションがサポートされています。サードパーティ ツールを使用したシミュレーションは、Vivado 統合設計環境 (IDE) 内から直接実行するか、またはカスタムの外部シミュレーション環境を使用して実行できます。

表 1. サポートされるサードパーティ シミュレータ
サードパーティ シミュレータ Red Hat 64 ビット Linux Windows 64 ビット
Siemens EDA ModelSim DE
Siemens EDA Questa Advanced Simulator
Cadence 社 Xcelium Parallel Simulator N/A
Synopsys VCS N/A
Aldec Active HDL N/A
Aldec Riviera PRO

Vivado IDE の使用方法は、 『Vivado Design Suite ユーザー ガイド: Vivado IDE の使用』 (UG893) を参照してください。

Vivado IDE でシミュレーションを実行する前に、次の環境変数を設定してください。

表 2. サードパーティ シミュレータの環境変数設定
シミュレータ Linux Windows
Modelsim
setenv MODEL_TECH <tool installation path>
setenv LM_LICENSE_FILE <license file>
setenv PATH ${MODEL_TECH}/bin:$PATH
set MODEL_TECH=<tool installation path>
set LM_LICENSE_FILE=<license file>
set Path=%MODEL_TECH%\win32;%Path%
Questa
setenv MODEL_TECH <tool installation path>
setenv LM_LICENSE_FILE <license file>
setenv PATH ${MODEL_TECH}/bin:$PATH
set MODEL_TECH=<tool installation path>
set LM_LICENSE_FILE=<license file>
set Path=%MODEL_TECH%\win32;%Path%
Riviera
In BASH 
source <install_path>/etc/setenv
source <install_path>/etc/setgcc
call <install_path>/etc/setenv.bat
call <install_path>/etc/setgcc.bat
Active-HDL なし
set ACTIVE_BIN=<tool installation path>
set Path=%<Active_hdl install dir>%\BIN;%Path%
set LM_LICENSE_FILE=<license file>
Xcelium
setenv CDS_INST_DIR <xcelium_install_dir>
setenv LD_LIBRARY_PATH $CDS_INST_DIR/tools/xcelium/lib:$LD_LIBRARY_PATH
setenv PATH $CDS_INST_DIR/tools/xcelium/bin:$CDS_INST_DIR/tools/bin:$PATH
setenv CDS_LICENSE_DIR <tool_license>
なし
VCS
setenv VCS_HOME <tool_install_path>
setenv LM_LICENSE_FILE <license_file_path>
setenv PATH ${VCS_HOME}/bin:${PATH}
なし
  1. OS にかかわらず、環境変数 PATH にツールのインストール パスを追加する必要があります。サポートされているシミュレータで SystemC ベースのデザインをシミュレーションする場合は、Vivado IDE での SystemC のサポート に記載されている g++ バージョンのインストール パスを指定してください。LD_LIBRARY_PATH にシミュレータのライブラリ パスを含める必要もあります。

サードパーティ シミュレータの詳細は、サードパーティ シミュレータに関する情報へのリンク を参照してください。

重要: サポートされているバージョンのサードパーティ シミュレータのみを使用してください。サポートされるシミュレータおよび OS の詳細は、 『Vivado Design Suite ユーザー ガイド: リリース ノート、インストール、およびライセンス』 (UG973) の「互換性のあるサードパーティ ツール」の表を参照してください。