デザイン ファイル、xvhdl および xvlog の解析 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: ロジック シミュレーション (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 日本語

xvhdl および xvlog コマンドは、それぞれ VHDL および Verilog ファイルを解析します。各オプションの詳細は、表 1 を参照してください。

xvhdl

xvhdl コマンドは VHDL アナライザー (パーサー) です。

xvhdl の構文

xvhdl 
[-encryptdumps] 
[-f [-file] <filename>]
[-h [-help]
[-initfile <init_filename>]
[-L [-lib] <library_name> [=<library_dir>]]
[-log <filename>]
[-nolog] 
[-prj <filename>]
[-relax]
[-v [verbose] [0|1|2]]
[-version] 
[-work <library_name> [=<library_dir>]
[-incr]
[-2008]
[-93_mode]
[-nosignalhandlers]

このコマンドは VHDL ソース ファイルを解析し、解析済みデータをディスクの HDL ライブラリに保存します。

xvhdl の例

xvhdl file1.vhd file2.vhd
xvhdl -work worklib file1.vhd file2.vhd
xvhdl -prj files.prj

xvlog

xvlog コマンドは Verilog パーサーです。この xvlog コマンドは、Verilog ソース ファイルを解析し、解析済みデータをディスクの HDL ライブラリに保存します。

xvlog の構文

xvlog 
[-d [define] <name>[=<val>]]
[-encryptdumps]
[-f [-file] <filename>]
[-h [-help]]
[-i [include] <directory_name>]
[-initfile <init_filename>]
[-L [-lib] <library_name> [=<library_dir>]]
[-log <filename>]
[-nolog]
[-noname_unamed_generate]
[-relax]
[-prj <filename>]
[-sourcelibdir <sourcelib_dirname>]
[-sourcelibext <file_extension>]
[-sourcelibfile <filename>]
[-sv]
[-v [verbose] [0|1|2]]
[-version] 
[-work <library_name> [=<library_dir>]
[-incr]
[-nosignalhandlers]
[-uvm_version arg]

xvlog の例

xvlog file1.v file2.v
xvlog -work worklib file1.v file2.v
xvlog -prj files.prj
注記: xelabxvlogxvhdl は Tcl コマンドではありません。xvlogxvhdlxelabVivado に依存しないコンパイラ実行ファイルなので、対応する Tcl コマンドはありません。

シミュレーションの開始は Vivado に依存しているので、Tcl コマンドの xsim で実行します。

シミュレーションを Vivado 外で実行するため、xsim と同じ名前の実行ファイルが提供されます。xsim 実行ファイルは、Vivado を非プロジェクト モードで起動し、xsim Tcl コマンドを実行してシミュレーションを開始します。このため、Vivado IDE 内から xvlogxvhdlxelab フォームのヘルプを表示する場合は、コマンドの前に exec を付けてください。

例: exec xvlog –help

xsim のヘルプを表示するには、xsim –help と入力します。