デザイン共有ライブラリの準備 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: ロジック シミュレーション (UG900)

Document ID
UG900
Release Date
2023-10-18
Version
2023.2 日本語

XSI ベースの C/C++ プログラムを作成する最後の手順では、HDL デザインをコンパイルし、それを Vivado シミュレータと共にパッケージして、デザイン共有ライブラリにします。HDL デザインのソース コードを変更するたびに、この手順を繰り返します。

注意:
C/C++ プログラムの実行中にそのプログラム用のデザイン共有ライブラリを再構築する場合は、この手順を実行する前にプログラムでデザインを閉じてください。

Vivado シミュレータのユーザー インターフェイスで使用する通常のスナップショットの代わりに、HDL デザインに対して xelab を -dll オプションを使用して共有ライブラリを作成するよう指定して実行し、デザイン共有ライブラリを作成します。

次に例を示します。

Linux のコマンド ラインに次のコマンドを入力し、./xsim.dir/design/xsimk.so にデザイン共有ライブラリを作成します。

xelab work.top1 work.top2 -dll -s design

work.top1 および work.top2 は最上位モジュール名、design はスナップショット名です。

HDL デザインのコンパイルの詳細は、xelab、xvhdl、xvlog xsim コマンド オプションを参照してください。