ASYNC_REG - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 合成 (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 日本語

ASYNC_REG 属性は、Vivado ツール フローのさまざまなプロセスに影響します。この属性は、レジスタの D 入力ピンでソース クロックに非同期のデータを受信できること、またはレジスタが同期化チェーン内の同期化レジスタであることを示します。Vivado 合成では、この属性は DONT_TOUCH 属性として処理され、ASYNC_REG プロパティをネットリストに挿入します。これにより、ASYNC_REG プロパティが設定されたオブジェクトが最適化で削除されることはなくなり、フローの後のほうのツールで適切に処理されます。

ほかの Vivado ツールがこの属性をどのように処理するかについては、 『Vivado Design Suite: プロパティ リファレンス ガイド』 (UG912) を参照してください。

この属性はレジスタに設定でき、有効な値は FALSE (デフォルト) および TRUE です。この属性は RTL または XDC で設定できます。

重要: ロードのない信号にこの属性を設定する場合は、注意が必要です。属性および信号が保持されない可能性があります。HDL に関係なく、属性に使用される大文字と小文字は区別されません。