BLACK_BOX の Verilog 例 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 合成 (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 日本語
(* black_box *) module test(in1, in2, clk, out1);
重要: Verilog では、値は必要ありません。この属性があれば、ブラック ボックスが作成されます。