CASCADE_HEIGHT の VHDL 例 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 合成 (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 日本語
attribute cascade_height : integer;
attribute cascade_height of my_ram signal is 16;

特定の RAM にのみ適用される属性に加え、デザイン内のすべての RAM に適用されるグローバル設定があります。

[Project Settings] ダイアログ ボックスの [Synthesis] ページにある -max_uram_cascade_height です。デフォルト値は -1 で、Vivado 合成により最適な処理が実行されます。ほかの値に設定することもできます。このグローバル設定と CASCADE_HEIGHT 属性が異なる場合は、その特定の RAM には属性の値が使用されます。