DIRECT_ENABLE の XDC 例 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 合成 (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 日本語
set_property direct_enable yes [get_nets -of [get_ports ena3]]
注記: XDC で使用する場合、この属性はネットにのみ機能するので、get_nets コマンドを使用してネット オブジェクトを取得する必要があります。