IO_BUFFER_TYPE - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 合成 (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 日本語

IO_BUFFER_TYPE 属性は最上位ポートに設定し、バッファーを使用するように指定します。Vivado 合成では、デフォルトでは、入力または出力ポートにバッファーが自動推論されます。このバッファーの自動推論をディスエーブルにするには、この属性を値を NONE に設定して追加します。この属性は RTL でのみ設定できます。