SystemVerilog コンストラクト - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 合成 (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 日本語

次の表に、SystemVerilog コンストラクトを示します。サポートされていないコンストラクトは、そのセルに灰色で陰影が付けられています。

表 1. SystemVerilog コンストラクト
コンストラクト ステータス
データ型
単体型および集合体型 サポートあり
ネットおよび変数 サポートあり
変数宣言 サポートあり
ベクター宣言 サポートあり
2 ステート (2 値) および 4 ステート (4 値) データ型 サポートあり
符号付きおよび符号なし整数データ型 サポートあり
ユーザー定義型 サポートあり
列挙型 サポートあり
新規データ型を列挙型として定義 サポートあり
列挙型の範囲 サポートあり
型チェック サポートあり
数式の列挙型 サポートあり
列挙型メソッド サポートあり
型パラメーター サポートあり
型演算子 サポートあり
キャスト演算子 サポートあり
ビットストリーム キャスト サポートあり
const 定数 サポートあり
$cast ダイナミック キャスト サポートなし
real、shortreal、および realtime データ型 サポートあり
集合体データ型
構造 サポートあり
パック型/アンパック型構造体 サポートあり
構造体への代入 サポートあり
パック型配列 サポートあり
アンパック型配列 サポートあり
配列の演算 サポートあり
多次元配列 サポートあり
配列のインデックスおよびスライス サポートあり
配列代入 サポートあり
サブルーチンへの引数としての配列 サポートあり
配列操作メソッド (キュー型を戻さないメソッド) サポートなし
配列クエリ関数 サポートなし
アンパック型共用体 サポートあり
タグ付き共用体 サポートなし (1)
パック型共用体 サポートあり
プロセス
組み合わせロジックの always_comb プロシージャ サポートあり
暗示的な always_comb のセンシティビティ リスト サポートあり
ラッチ ロジックの always_latch プロシージャ サポートあり
順次ブロック サポートあり
順序ロジックの always_ff プロシージャ サポートあり
iff イベント修飾子 サポートあり
エイリアス サポートあり
条件付きイベント制御 サポートなし
並列ブロック サポートなし
手続き的タイミング制御 サポートなし
順次イベント サポートなし
代入文
連続代入文 サポートあり
変数宣言代入 (変数初期化) サポートあり
代入のようなコンテキスト サポートあり
配列代入パターン サポートあり
構造代入パターン サポートあり
アンパック型配列連結 サポートあり
ネット エイリアス サポートなし
演算子および演算式
$error、$warning、$info 初期ブロック内でのみサポートされ、定数式 (パラメーターなど) を評価するためにのみ使用可能です。
集合体式 サポートあり
符号なしおよび符号付き型を使用した演算式 サポートあり
代入演算子 サポートあり
演算式内の代入 サポートあり
連結演算子 サポートあり
定数式 サポートあり
インクリメントおよびデクリメント演算子 サポートあり
ロジック (4 値) およびビット (2 値) 型の演算 サポートあり
ワイルドカード等価演算子 サポートあり
stream_expressions の連結 サポートあり
実数オペランドを使用する演算子 サポートなし
ジェネリック ストリームの並べ替え サポートなし
メンバーシップ演算子の設定 サポートなし
代入ターゲットとしてのストリーミング連結 (アンパック型) サポートあり
動的にサイズが決定されるデータのストリーミング サポートなし
手続きプログラム文
case 文違反レポートおよび複数プロセス文 サポートあり
ループ文 サポートあり
unique-if、unique0-if および priority-if サポートあり
assert 文 サポートなし
if 文違反レポートおよび複数プロセス文 サポートなし
ジャンプ文 推奨されていない
パターン一致条件文 サポートなし
メンバーシップ case 文の設定 サポートなし
unique-case、unique0-case、および priority-case サポートあり
unique-if、unique0-if、および priority-if コンストラクトで生成された違反レポート サポートなし
タスク
カバレッジ制御関数 サポートなし
スタティックおよび自動タスク サポートあり
タスクのメモリ使用量および同時アクティベーション サポートなし
関数
戻り値と void 関数 サポートあり
スタティックおよび自動関数 サポートあり
定数関数 サポートあり
関数呼び出しで起動されるバックグラウンド プロセス サポートなし
仮想関数 サポートなし
サブルーチン呼び出しおよび引数渡し
名前による引数バインド サポートあり
デフォルトの引数値 サポートあり
参照渡し サポートあり
値渡し サポートあり
オプションの引数リスト サポートなし
コンパイラ指示子
  サポートあり
モジュールおよび階層
デフォルトのポート値 サポートあり
外部モジュール サポートあり
モジュール インスタンシエーション構文 サポートあり
メンバー選択 サポートあり
モジュール パラメーターのオーバーライド サポートあり
最上位モジュールおよび $root サポートなし
スコープまたはインスタンスへの補助コードのバインド サポートなし
階層名 サポートあり
上方向の名前参照 サポートなし
インターフェイス
インターフェイス構文 サポートあり
modport 式 サポートあり
パラメーター指定されたインターフェイス サポートあり
インターフェイスのポート サポートあり
インターフェイスの配列 サポートあり
クロッキング ブロックおよび modport サポートなし
動的配列 サポートなし
タスクおよび関数のエクスポート例 サポートなし
複数タスクのエクスポート例 サポートなし
インターフェイスおよび指定ブロック サポートなし
入れ子のインターフェイス サポートなし
仮想インターフェイス サポートなし
パッケージ
パッケージ宣言 サポートあり
パッケージ内のデータの参照 サポートあり
モジュール ヘッダーでのパッケージの使用 サポートあり
パッケージからのインポートされた名前のエクスポート サポートあり
std ビルトイン パッケージ サポートなし
generate コンストラクト
  サポートあり
config 文
  サポートあり
クラス
インスタンス サポートあり
メンバーおよびメソッド アクセス サポートあり
コンストラクター サポートあり
スタティック クラス メンバーおよびメソッド サポートあり
'this' および 'super' を使用したアクセス サポートあり
オブジェクトの割り当て サポートあり
継承 サポートあり
データ非表示およびカプセル化 サポートあり
範囲と解像度の演算子 (::) サポートあり
ネストされたクラス サポートあり
構造体内のオブジェクト サポートあり
仮想クラス サポートなし
抽象クラス サポートなし
基本クラス オブジェクトを使用した割り当て サポートなし
NULL を使用したオブジェクト比較 サポートなし
  1. 使用した場合、タグは無視され、警告メッセージが表示されます。