UltraScale DSP ブロックの 2 乗算出機能の使用 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 合成 (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 日本語

UltraScale DSP ブロック (DSP48E2) プリミティブは、前置加算器の入力または出力の 2 乗を算出できます。

コード例のファイルは、このリンクからダウンロードできます。

次に、差分 2 乗の例を示します。これを使用すると、差分の絶対値の計算と効率的に置き換えることができます。

1 つの DSP ブロックに収まり、最大速度で動作します。前述のコード例ファイルには、UltraScale アーキテクチャの 1 つの DSP ブロックに配置可能な差分 2 乗のアキュムレータの例も含まれています。