VHDL の IEEE パッケージ - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 合成 (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 日本語

Vivado 合成では、次の定義済み VHDL IEEE パッケージがサポートされており、IEEE ライブラリにコンパイルされています。

  • numeric_bit
    • ビットに基づく符号なしおよび符号付きのベクター型。
    • これらのデータ型のオーバーロードされた算術演算子、変換関数、および拡張関数。
  • std_logic_1164
    • std_logicstd_ulogicstd_logic_vector、および std_ulogic_vector 型。
    • これらのデータ型に基づく変換関数。
  • numeric_std
    • std_logic に基づく符号なしおよび符号付きのベクター型。
    • これらのデータ型のオーバーロードされた算術演算子、変換関数、および拡張関数。std_logic_arith と同等。
  • fixed_pkg
    • 固定変数およびピン タイプの場合。
    • use ieee.fixed_pkg.all;
  • float_pkg
    • フローティング変数およびピン タイプの場合。
    • use ieee.float_pkg.all;