VHDL のコンポーネント インスタンシエーション - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 合成 (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 日本語

コンポーネント インスタンシエーションを使用すると、1 つのデザイン ユニット (コンポーネント) を別のデザイン ユニット内にインスタンシエートして、階層構造デザインを記述できます。

コンポーネント インスタンシエーションを実行するには、次の手順に従います。

  1. インスタンシエートする機能を記述したデザイン ユニット (エンティティとアーキテクチャ) を作成します。
  2. 親デザイン ユニットのアーキテクチャの宣言部分にインスタンシエートするコンポーネントを宣言します。
  3. 親デザイン ユニットのアーキテクチャの本体でこのコンポーネントをインスタンシエートして接続します。
  4. コンポーネントのフォーマル ポートを親デザイン ユニットの実際の信号およびポートにマップ (接続) します。