VHDL パッケージへのアクセス - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 合成 (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 日本語

VHDL パッケージにアクセスするには、次を実行します。

  1. パッケージがコンパイルされているライブラリを library 節で指定します。たとえば library library_name; のように使用します。
  2. パッケージまたはパッケージに含まれる特有の定義を use 節で指定します。たとえば、use library_name.package_name.all のように指定します。
  3. これらの行は、パッケージ定義を使用するエンティティまたはアーキテクチャ文の直前に挿入します。

デフォルトのライブラリは work ライブラリなので、指定したパッケージがこのライブラリにコンパイルされている場合は、library 節は必要ありません。