generate ループ文 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 合成 (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 日本語

generate-for ループ文を使用すると、モジュール内に 1 つ以上のインスタンスを作成できます。

generate-for ループ文は通常の Verilog for ループ文と同様に使用できますが、次のような制限があります。

  • generate-for ループ文のインデックスには、genvar 変数を使用する必要があります。
  • for ループ制御の代入文では、genvar 変数を参照する必要があります。
  • for ループ文の内容は begin 文と end 文で囲みます。
  • begin 文には、固有の名前を付けます。