サポートされる generate 文 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 合成 (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 日本語

Vivado 合成では、Verilog ビヘイビアー記述の次の generate 文がサポートされます。

  • generate ループ文 (generate-for)
  • generate 条件文 (generate-if-else)
  • generate ケース文 (generate-case)