ジェネリック リストでのジェネリックの参照 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 合成 (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 日本語

VHDL-2008 では、次の例に示すように、ジェネリックで別のジェネリックを参照できます。

entity my_entity is generic (
gen1 : integer;
gen2 : std_logic_vector(gen1 - 1 downto 0));

以前のバージョンの VHDL では、gen2gen1 の長さを制御することは不可能でした。