タイミング解析の実行 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 合成 (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 日本語

合成済みデザインのタイミング解析は、インプリメンテーションを効率的に実行するために必要な制約がパスに設定されているかどうかを確認するのに有益です。Vivado 合成はタイミング ドリブンであり、設定した制約に基づいて出力が調整されます。

Pblock や LOC 制約のような物理制約をデザインに割り当てていくと、より正確なタイミング解析結果が得られるようになりますが、これらの結果に含まれるのはパス遅延の見積もり値です。合成済みデザインでは、配線遅延の見積もり値を使用して解析が実行されます。

この時点でタイミング解析を実行すると、パスが正しく制約されているか、およびタイミング パスの全体的な状況を確認できます。

重要: 実際の配線遅延が含まれるのは、インプリメンテーション (配置配線) 後のタイミング解析のみです。合成済みデザインのタイミング解析は、インプリメント済みデザインのタイミング解析ほど正確ではありません。