バッファー ポート モード - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 合成 (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 日本語

VHDL では信号が内部および出力ポートとして使用される (内部ドライバーが 1 つしかない) 場合にバッファー ポート モードが許容されますが、バッファー ポートは合成中のエラーの原因となる可能性があり、合成後の結果をシミュレーションで検証するのが困難になります。