バッファー ポート モードを使用しないよう変更 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 合成 (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 日本語

上記のコード例では、信号 C がバッファー モードで記述され、内部および出力ポートの両方として使用されており、C に接続可能な階層レベルもすべてバッファーとして宣言する必要がありました。

バッファー モードを使用しないように変更するには、次の手順に従います。

  1. ダミー信号を挿入します。
  2. ポート C を出力として宣言します。