信号 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 合成 (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 日本語

VHDL 信号は、次で宣言できます。

  • アーキテクチャ宣言部分: 宣言した VHDL 信号を、そのアーキテクチャ内の任意の場所で使用します。
  • ブロック: 宣言した VHDL 信号を、そのブロック内で使用します。

VHDL 信号は、信号代入演算子「<=」を使用して代入します。

signal sig1 : std_logic;
sig1 <= '1';