制約の設定 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 合成 (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 日本語

次の表に、Vivado タイミング制約にサポートされる Tcl コマンドを示します。各コマンドのリンクをクリックすると、 『Vivado Design Suite Tcl コマンド リファレンス ガイド』 (UG835) のコマンドを説明するページが開きます。

表 1. サポートされる合成 Tcl コマンド
コマンドのタイプ コマンド  
タイミング制約 create_clock create_generated_clock set_false_path set_input_delay
set_output_delay set_max_delay set_multicycle_path get_cells
set_clock_latency set_clock_groups set_disable_timing get_ports
オブジェクト アクセス all_clocks all_inputs all_outputs  
get_clocks get_nets get_pins  

これらのコマンドの詳細は、次の資料を参照してください。

  • 『Vivado Design Suite Tcl コマンド リファレンス ガイド』 (UG835)
  • 『Vivado Design Suite ユーザー ガイド: 制約の使用』 (UG903)
  • 『Vivado Design Suite チュートリアル: 制約の使用』 (UG945)
  • 『Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック』 (UG906)