半加算器の例 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 合成 (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 日本語

次に、4 つの 2 入力 NAND モジュールから構成される半加算器の構造記述例を示します。

module halfadd (X, Y, C, S);
input X, Y;
output C, S;
wire S1, S2, S3;

nand NANDA (S3, X, Y);nand NANDB (S1, X, S3);nand NANDC (S2, S3, Y);nand NANDD (S, S1, S2);assign C = S3;
endmodule