変数および信号の代入 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 合成 (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 日本語

Vivado 合成では、VHDL の変数および信号代入がサポートされます。プロセスには、ローカル変数を含めることができます。ローカル変数は、プロセス内で宣言して使用され、通常プロセスの外部からは見えません。