演算子 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 合成 (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 日本語

Vivado 合成では、次の SystemVerilog の演算子がサポートされます。

  • 代入演算子 (=, +=, -=, *=, /=, %=, &=, |=, ^=, <<=, >>=, <<<=, >>>=)
  • 単項演算子 (+, -, !, ~, &, ~&, |, ~|, ^, ~^, ^~)
  • インクリメント/デクリメント演算子 ((++, --)
  • 2 進数演算子 (+, -, *, /, %, ==, ~=, ===, ~==, &&, ||, **, <, <=, >, >=, &, |, ^, ^~, ~^, >>, <<, >>>, <<<)
注記: A**B は、A が 2 のべき乗であるか、B が定数の場合にサポートされます。
  • 条件演算子 (? :)
  • 連結演算子 ({...})