特定のファイルで SystemVerilog を使用 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 合成 (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 日本語

Vivado 合成では、デフォルトでは、*.v ファイルは Verilog 2005 構文で、*.sv ファイルは SystemVerilog 構文でコンパイルされます。

Vivado IDE で特定の *.v ファイルに SystemVerilog を使用するには、ファイルを右クリックし、Source Node Properties をクリックします。[Source File Properties] ビューで [Type] フィールドの右側にあるボタンをクリックし、[Set Type] ダイアログ ボックスで [File Type] を SystemVerilog に変更して、OK をクリックします。