組み合わせプロセス - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 合成 (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 日本語

VHDL の組み合わせロジックは、プロセスで記述できます。プロセスは、プロセスが実行されるたびに信号に新しい値を代入します。

重要: 信号に現在の値は保持されません。プロセスには、ローカル変数を含めることができます。