CDC 制約について - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2023-11-01
Version
2023.2 日本語

クロック乗せ換え (CDC) 制約は、ソース クロックとデスティネーション クロックが異なるタイミング パスに適用されます。ソース クロックとデスティネーション クロックの関係および CDC パスに設定されたタイミング例外によって、同期 CDC と非同期 CDC があります。たとえば、同期クロック間の CDC パスにフォルス パス制約が設定されている場合はタイミング解析は実行されず、非同期 CDC と同様に処理されます。

非同期 CDC パスには、安全なものと危険なものがあります。非同期 CDC に関して使用される「安全」および「危険」という用語は、クロック間のタイミング解析に使用されるものとは異なります (report_clock_interaction を参照)。非同期 CDC パスは、同期回路を使用してデスティネーション シーケンシャル セルがメタステーブル状態になるのを回避している場合は、安全とみなされます。

詳細は、 『Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック』 (UG906) の「クロック乗せ換えレポート」を参照してください。

CDC パスのタイミング解析は、set_false_path または set_clock_groups 制約を使用すると完全に無視でき、set_max_delay -datapath_only を使用すると部分的に解析できます。また、マルチビット CDC パスのキャプチャ時間スプレッドは set_bus_skew 制約を使用して制約できます。