CLOCK_DEDICATED_ROUTE - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: 制約の使用 (UG903)

Document ID
UG903
Release Date
2023-11-01
Version
2023.2 日本語

クロック信号の配線方法を指定するには、ネットに CLOCK_DEDICATED_ROUTE を設定します。

CLOCK_DEDICATED_ROUTE プロパティはクロック ネットに使用し、デフォルト配線の代わりに使用する配線を指定します。この制約はタイミングの予測性および配線性に影響する可能性があるので、使用する場合は十分な注意が必要です。

たとえば、専用クロック配線が使用できない場合は、CLOCK_DEDICATED_ROUTE を FALSE に設定できます。FALSE に設定すると、Vivado ツールで、クロックを入力ポートから BUFG や MMCM などのグローバル クロック リソースに配線するのに、汎用配線リソースを使用できるようになります。これは、デバイス パッケージ ピン割り当てが固定されている場合や、クロック入力を適切なクロック兼用入力ピン (CCIO) に割り当てることができない場合など、最終手段としてのみ使用してください。FIXED_ROUTE と共に使用しないと、配線が最適なものにならず、予測不可能になります。

このプロパティの詳細は、 『UltraFast 設計手法ガイド (FPGA および SoC 用)』 (UG949)このセクションを参照してください。